洗衣機控制器課程設(shè)計_第1頁
已閱讀1頁,還剩15頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p>  課 程 設(shè) 計</p><p>  2012年 3 月2日</p><p><b>  課程設(shè)計任務(wù)書</b></p><p>  課程 EDA技術(shù)課程設(shè)計</p><p>  題目 洗衣機控制器</p><p>  主要內(nèi)容、基本要求、主要參考資料等</p&

2、gt;<p><b>  主要內(nèi)容:</b></p><p>  設(shè)計一個洗衣機控制器,要求洗衣機有正轉(zhuǎn)、反轉(zhuǎn)、暫停三種狀態(tài)。設(shè)定洗衣機的工作時間,要洗衣機在工作時間內(nèi)完成:定時啟動(正轉(zhuǎn)20秒(暫停10秒(反轉(zhuǎn)20秒(暫停10秒(定時未到回到“正轉(zhuǎn)20秒(暫停10秒(……”,定時到則停止,同時發(fā)出提示音。</p><p><b>  基本要求

3、:</b></p><p>  1、設(shè)計一個電子定時器,控制洗衣機作如下運轉(zhuǎn):定時啟動(正轉(zhuǎn)20秒(暫停10秒(反轉(zhuǎn)20秒(暫停10秒(定時未到回到“正轉(zhuǎn)20秒(暫停10秒(……”,定時到則停止;</p><p>  2、若定時到,則停機發(fā)出音響信號;</p><p>  3、用兩個數(shù)碼管顯示洗滌的預(yù)置時間(分鐘數(shù)),按倒計時方式對洗滌過程作計時顯示,直

4、到時間到停機;洗滌過程由“開始”信號開始;</p><p>  4、三只LED燈表示“正轉(zhuǎn)”、“反轉(zhuǎn)”、“暫?!比齻€狀態(tài)。</p><p>  [1] 潘松著.EDA技術(shù)實用教程(第二版). 北京:科學(xué)出版社,2005.</p><p>  [2] 康華光主編.電子技術(shù)基礎(chǔ) 模擬部分. 北京:高教出版社,2006.</p><p>  [3]

5、 閻石主編.數(shù)字電子技術(shù)基礎(chǔ). 北京:高教出版社,2003.</p><p>  完成期限 2012.3.12 </p><p>  指導(dǎo)教師 </p><p>  專業(yè)負責(zé)人 </p><p>  2012年 2月27日</p><

6、;p><b>  一、總體設(shè)計思想</b></p><p><b>  1.基本原理</b></p><p>  首先用電路控制三只LED顯示洗衣機正轉(zhuǎn)、反轉(zhuǎn)、暫停三種狀態(tài)。然后用電子定時器控制洗衣機設(shè)定的工作時間,以及正傳和反轉(zhuǎn)運行時間的控制。同時用兩個數(shù)碼管顯示洗滌的預(yù)置時間(按分鐘計數(shù)),按倒計時方式對洗滌過程作計時顯示,直到時間到停

7、機;洗滌過程由“開始”信號開始;最后定時到則停止,同時用蜂鳴器發(fā)出提示音。通過各種開關(guān)組成控制電路,使洗衣機實現(xiàn)程序運轉(zhuǎn)。 直至結(jié)束為止。</p><p><b>  2.設(shè)計框圖</b></p><p>  洗衣機控制電路由定時輸入模塊,電機輸出模塊,電機時間控制模塊,數(shù)字顯示電路,倒計時模塊以及報警器模塊組成。</p><p><b&

8、gt;  圖一 </b></p><p>  二、設(shè)計步驟和調(diào)試過程</p><p><b>  1、總體設(shè)計電路</b></p><p><b>  電路圖如下所示:</b></p><p><b>  圖二</b></p><p>  2

9、、模塊設(shè)計和相應(yīng)模塊程序</p><p> ?。?)定時輸入模塊:</p><p>  此模塊是為了實現(xiàn)洗衣機能夠定時輸入的功能,保證洗衣機能夠正常運行。</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.

10、STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity shuru is</p><p>  Port ( shu : in std_logic; </p><p>  hshu: in std_logic;</p><

11、;p>  din : in std_logic; </p><p>  dout:out std_logic_vector(3 downto 0);</p><p>  dout1:out std_logic_vector(3 downto 0)); </p><p>  end shuru;</p><p>  architect

12、ure Behavioral of shuru is</p><p>  signal count: std_logic_vector(3 downto 0);</p><p>  signal count1: std_logic_vector(3 downto 0);</p><p>  signal count2: std_logic_vector(3 down

13、to 0);</p><p>  signal count3: std_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p>  process(shu,hshu,din)</p><p><b>  begin</b></p>

14、;<p>  dout<=count;</p><p>  dout1<=count1;</p><p>  if din='1' then</p><p>  dout<="1111";dout1<="1111";</p><p>  elsif

15、rising_edge(shu) then</p><p>  if count="1001" then</p><p>  count<="0000";</p><p><b>  else</b></p><p>  count<=count+1;</p>

16、;<p><b>  end if;</b></p><p><b>  end if;</b></p><p>  if rising_edge(hshu) then</p><p>  if count1="0110" then</p><p>  count1

17、<="0000";</p><p><b>  else</b></p><p>  count1<=count1+1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p>

18、<p>  end process;</p><p>  end Behavioral;</p><p> ?。?)電機時間控制模塊:</p><p>  此模塊由一個累加器和一個命令控制器組成,用來實現(xiàn)預(yù)置洗滌時間的功能,洗滌時間以分鐘數(shù)為單位,用戶可根據(jù)自己的需求來設(shè)定洗滌時間的長短。</p><p>  library IE

19、EE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity washmachine is</p><

20、;p>  Port ( clk : in std_logic;</p><p>  c :out std_logic;</p><p>  d :out std_logic);</p><p>  end washmachine;</p><p>  architecture miao20 of washmachine is</p

21、><p>  signal count: std_logic_vector(2 downto 0); </p><p>  signal shi: integer range 0 to 60;</p><p><b>  begin</b></p><p>  process(clk)</p><p>

22、;<b>  begin</b></p><p>  if rising_edge(clk) then</p><p>  if shi=60 then</p><p>  shi<=0;c<='1';</p><p>  else shi<=shi+1;c<='0

23、9;;</p><p><b>  end if;</b></p><p>  if count="101" then</p><p>  count<="000";</p><p><b>  d<='1';</b></p&

24、gt;<p><b>  else</b></p><p>  count<=count+1;</p><p><b>  d<='0';</b></p><p><b>  end if;</b></p><p><b> 

25、 end if;</b></p><p>  end process;</p><p>  end miao20;</p><p><b> ?。?)電機輸出模塊</b></p><p>  此模塊是為了實現(xiàn)能夠控制洗衣機電機時間達到正轉(zhuǎn)、反轉(zhuǎn)、暫停的功能。</p><p>  lib

26、rary IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity dianji is</p>&

27、lt;p><b>  Port ( </b></p><p>  cc :in std_logic;</p><p>  dd :out std_logic_vector(1 downto 0);</p><p>  deng : out std_logic_vector(2 downto 0));</p><p>

28、;  end dianji;</p><p>  architecture di of dianji is</p><p>  signal count : std_logic_vector(2 downto 0);</p><p>  signal dian : std_logic_vector(1 downto 0);</p><p> 

29、 signal deng1 : std_logic_vector(2 downto 0);</p><p><b>  begin</b></p><p>  dd <= dian;</p><p>  deng<=deng1;</p><p>  process(cc)</p><p&g

30、t;<b>  begin</b></p><p>  if rising_edge(cc) then</p><p>  if count = "101" then</p><p>  count <= "000";</p><p><b>  else <

31、/b></p><p>  count <= count+1; </p><p><b>  end if;</b></p><p>  if count="000" then</p><p>  dian<="01";deng1<="100&

32、quot;;</p><p>  elsif count="010" then</p><p>  dian<="00";deng1<="010";</p><p>  elsif count="011" then</p><p>  dian<

33、="11" ;deng1<="001";</p><p>  end if; </p><p><b>  end if;</b></p><p>  end process;</p><p><b>  end di ;</b></p>

34、;<p> ?。?)數(shù)碼管顯示模塊:</p><p>  根據(jù)課程設(shè)計要求,必須將洗衣機的工作狀態(tài)及工作時間在數(shù)碼管和指示燈上顯示出來,此模塊是用來控制洗衣機的工作狀態(tài)及工作的頻率,并把工作狀態(tài)及工作時間顯示出來。</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p&

35、gt;<p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity shuma is</p><p>  Port (din:in std_logic_vector(3 downto 0 ); </p>&

36、lt;p>  dout:out std_logic_vector(6 downto 0) ); </p><p>  end shuma;</p><p>  architecture Behavioral of shuma is</p><p><b>  begin</b></p><p>  process

37、(din)</p><p><b>  begin</b></p><p>  case din is</p><p>  when "0000" => dout<="0000001";--0</p><p>  when "0001" =>

38、dout<="1001111";--1</p><p>  when "0010" => dout<="0010010";--2</p><p>  when "0011" => dout<="0000110";--3</p><p> 

39、 when "0100" => dout<="1001100"; --4</p><p>  when "0101" => dout<="0100100";--5</p><p>  when "0110" => dout<="0100000&q

40、uot;;--6</p><p>  when "0111" => dout<="0001111";--7</p><p>  when "1000" => dout<="0000000";--8</p><p>  when "1001" =

41、> dout<="0000100";--9</p><p>  when others => dout<="1111111";</p><p><b>  end case;</b></p><p>  end process;</p><p>  end

42、Behavioral;</p><p><b> ?。?)倒計時模塊:</b></p><p>  由于洗衣機有工作時間,必須要一模塊來控制它的工作時間范圍,當(dāng)洗衣機開始工作后,減法計數(shù)器即會實現(xiàn)減數(shù)功能,直到時間減到零,洗衣機便停止工作。</p><p>  library IEEE;</p><p>  use IE

43、EE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity hui is</p><p>  Port ( jian : in std_logic;</

44、p><p>  reset : in std_logic;</p><p>  din : in std_logic_vector(3 downto 0);</p><p>  dout : out std_logic_vector(3 downto 0);</p><p>  c:out std_logic);</p><p

45、><b>  end hui;</b></p><p>  architecture Behavioral of hui is</p><p>  signal count : std_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p

46、>  dout <= count;</p><p>  process(jian,reset,din)</p><p><b>  begin</b></p><p>  if reset='1'then</p><p>  count <= din ;</p><p

47、><b>  c<='0';</b></p><p>  elsif rising_edge(jian) then</p><p>  if count = "0000" then</p><p>  count <= "1001";</p><p&g

48、t;<b>  c<='1';</b></p><p><b>  else </b></p><p>  count <= count-1;</p><p><b>  c<='0';</b></p><p><b>

49、;  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  end Behavioral;</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_

50、1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity hui1 is</p><p>  Port ( jian : in std_logic;</p><p

51、>  reset : in std_logic;</p><p>  din : in std_logic_vector(3 downto 0);</p><p>  dout : out std_logic_vector(3 downto 0);</p><p>  c:out std_logic);</p><p><b>

52、;  end hui1;</b></p><p>  architecture Behavioral of hui1 is</p><p>  signal count : std_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p>  dout

53、 <= count;</p><p>  process(jian,reset,din)</p><p><b>  begin</b></p><p>  if reset='1'then</p><p>  count <= din ;</p><p><b&

54、gt;  c<='0';</b></p><p>  elsif rising_edge(jian) then</p><p>  if count = "0000" then</p><p><b>  c<='1';</b></p><p>

55、<b>  else </b></p><p>  count <= count-1;</p><p><b>  c<='0';</b></p><p><b>  end if;</b></p><p><b>  end if;<

56、;/b></p><p>  end process;</p><p>  end Behavioral;</p><p><b>  報警器模塊:</b></p><p>  當(dāng)洗滌時間結(jié)束時,洗衣機就會自動發(fā)出警報聲,以此提醒用戶洗滌工作完成,此模塊就是實現(xiàn)此功能。</p><p>  

57、library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_undigned.all;</p><p>  entity warming is</p><p>  port(clk:in std_logic;</p><

58、p>  finishc: in std_logic;</p><p>  warn:out std_logic);</p><p>  end warming;</p><p>  Architecture six of warming is</p><p><b>  begin </b></p>

59、<p>  process(clk)</p><p><b>  Begin</b></p><p>  If finishc=’1’then warn<=clk;</p><p>  Else warn<=’0’;</p><p><b>  end if;</b></

60、p><p>  end process;</p><p><b>  end;</b></p><p>  3、仿真及仿真結(jié)果分析</p><p>  EDA工具在EDA技術(shù)應(yīng)用中占據(jù)極其重要的位置,EDA的核心是利用計算機完成電子設(shè)計全程自動化,因此基于計算機環(huán)境的EDA軟件的支持是必不可少的。此次設(shè)計所用EDA工具是由著

61、名的Alter公司生產(chǎn)的MAX+plusⅡ工具軟件,它是一種集成的開發(fā)環(huán)境,支持原理圖、VHDL和Verilog語言文本文件,以及波形文件作為設(shè)計輸入,并支持這些文件的人以混合設(shè)計。</p><p> ?。?)定時輸入仿真:</p><p> ?。?)電機時間控制模塊:</p><p><b>  (3)電機仿真:</b></p>

62、<p> ?。?)數(shù)碼顯示仿真:</p><p><b> ?。?)倒計時仿真:</b></p><p><b>  (6)總體仿真:</b></p><p><b>  4、實驗調(diào)試結(jié)果</b></p><p>  通過幾天的調(diào)試,調(diào)試結(jié)果達到了設(shè)計要求。實現(xiàn)功能

63、如下:定時啟動正轉(zhuǎn)20秒暫停10秒反轉(zhuǎn)20秒暫停10秒定時未到回到“正轉(zhuǎn)20秒暫停10秒……”,時間控制正常。三只LED管也能顯示“正轉(zhuǎn)”、“反轉(zhuǎn)”、“暫?!比齻€狀態(tài)。運轉(zhuǎn)時,數(shù)碼管也能顯示倒計時間。最后當(dāng)定時達到時,蜂鳴器發(fā)出響聲報警。</p><p><b>  三、結(jié)論及心得體會</b></p><p>  通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,

64、只有理論知識是遠遠不夠的,只有把所學(xué)的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能真正地更好去理解知識,從而提高自己的實際動手能力和獨立思考的能力。從查閱相關(guān)的資料到自己設(shè)計總體方案,每一個環(huán)節(jié)都是在對以往知識學(xué)習(xí)掌握的復(fù)習(xí),歸納總結(jié)以及提升,EDA以硬件描述語言VHDL為系統(tǒng)描述手段完成的設(shè)計文件,自動的完成邏輯編譯,邏輯化簡,邏輯分割,邏輯綜合。結(jié)構(gòu)綜合,以及邏輯優(yōu)化和仿真測試,直至實現(xiàn)既定的電子線路系統(tǒng)功能。在設(shè)計過程中,我意

65、識到了自己對專業(yè)知識的不足之處。 這就要求我不斷的去復(fù)習(xí)課本,以便更好的來完成本次課程設(shè)計。但是,在進行編譯運行時,就出現(xiàn)了諸多錯誤。使得整個程序無法繼續(xù)運行。這時自己就得有充足的耐心,一點點的調(diào)試,檢查。</p><p><b>  參考資料</b></p><p>  [1] 潘松著.EDA技術(shù)實用教程(第二版). 北京:科學(xué)出版社,2005.</p>

66、<p>  [2] 康華光主編.電子技術(shù)基礎(chǔ) 模擬部分. 北京:高教出版社,2006.</p><p>  [3] 閻石主編.數(shù)字電子技術(shù)基礎(chǔ). 北京:高教出版社,2003.</p><p>  [4] 譚會生,張昌凡.EDA技術(shù)及應(yīng)用.西安:西安電子科技大學(xué)出版社,2001.</p><p>  [5] 潘松,黃繼業(yè).EDA技術(shù)實用教程.北京:科學(xué)出

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論